IEEE standard Verilog hardware description language / sponsor, Design Automation Standards Committee of the IEEE Computer Society.

"The Verilog Hardware Description Language (HDL) is defined in this standard. Verilog HDL is a formal notation intended for use in all phases of the creation of electronic systems. Because it is both machine readable and human readable, it supports the development, verification, synthesis, and testi...

Full description

Bibliographic Details
Corporate Authors: Institute of Electrical and Electronics Engineers
IEEE Computer Society. Design Automation Standards Subcommittee
IEEE Standards Association
IEEE Standards Board
Language:English
Published: New York : Institute of Electrical and Electronics Engineers, 2001.
Subjects:
Physical Description:xi, 778 pages : illustrations ; 28 cm
Variant Title:
Verilog hardware description language.
IEEE Std 1364-2001. [Other title]
Format: Book

System Under Maintenance

Our Library Management System is currently under maintenance.

Holdings and item availability information is currently unavailable. Please accept our apologies for any inconvenience this may cause and contact us for further assistance:

Please contact Reference and Discovery Services via their Contact Form or call them directly at: 517-353-8700 for assistance.